Browsing by Subject "Power"
Now showing 1 - 20 of 38
- Results Per Page
- Sort Options
Item A new approach to partial product reduction in multipliers(2019-12-09) Khan, Saqib Ahmed; Abraham, Jacob A.A multiplier is one of the key hardware components in most digital systems, such as microprocessors, digital signal processing (DSP), and finite impulse response (FIR) filters. It often lies on the critical delay path, having a significant impact on the overall performance of a system. Consequently, it provides significant opportunities for improvements to the design. Generally, multiplication can be divided into three basic steps: partial product formation (PPF), partial product reduction (PPR) and partial product summation (PPS). The PPR step is the most power and time consuming, and is therefore the main focus of this research effort. This thesis explores several circuit level techniques for improving the efficiency during the PPR step, by replacing half adders (HA) and full adders (FA) with more complex multiple bit adders. Two different approaches of implementing these adders have been developed: one is to use short adders (4-bit wide and below) and the other is to use long adders. The use of these approaches leads to fewer reduction stages, which leads to a more efficient multiplier design. Based on these techniques, several multipliers of various lengths have been designed using carry look-ahead adder (CLA) and Kogge-Stone adder (KSA), and implemented in a 45nm process technology. The long CLA based multiplier offers the most performance improvement in terms of area and power consumption, while maintaining the same delay as a Dadda multiplier [6]Item A-AVOIR Resistance : a cross cultural study of sexual citizenship in North America and France(2012-05) Batiste, Dominique Pierre; Strong, Pauline Turner, 1953-; Speed, Shannon, 1964-; Johnson, MichaelWhat forms of resistance are gay men in France and North America enacting against heteronormativity and homophobia? And why are they enacting these particular forms of resistance? To answer these questions, this thesis aims to draw connections between gay men's resistance strategies and larger socio-political phenomena in both France and North American cultures. First I focus on the discursive construction of citizens, both heterosexual and homosexual, in order to illustrate how gay men are relegated to second-class citizenship based on their sexual identities and practices. My focus, here, is cultural citizenship and sexual citizenship, two themes that run throughout this thesis. Next, I use Foucault's theories of knowledge-power to reveal how power relations in society discursively create subject positions, such as 'homosexuals' and 'heterosexuals', utilizing structures of control, norms, rewards, and punishments in order to champion heterosexuality to the detriment of homosexuality. In order to contest exercises of power, gay men engage in acts of resistance. i examine scholarly debates centered on resistance, and create a list of criterion for overt resistance, which I dub A AVOIR Resistance on account that it includes the characteristics of Action, Alternatives, Visibility, Opposition, Intent, and Recognition. Utilizing my rubric for overt resistance, as well as Foucault's notions of power, I analyze interview transcripts from a sample of gay men in North America and France to reveal that some gay men, living outside of large metropolitan areas, are rejecting hegemonic ideals of 'gayness' and integrating into mainstream heteronormative society. These men are creating what I call 'authentic communities' where many individuals from various backgrounds and lifestyles live together harmoniously based primarily on access to resources rather than identity markers such as sexual identity. this research shows a split between the ways that urban and suburban gay men embody their homosexuality. Since research on gay men focuses on those living in urban areas, my research calls, instead, for focus on suburban gay men and their resistance to homo-normative ideologies of what it means to me gay.Item Ambiguity, power, and gender roles in the young adult dating scene(2013-12) Steidl, Ellyn Arevalo; Raley, R. KellyIt is well established that patterns of relationship formation in young adulthood are becoming increasingly complex. There is a growing heterogeneity in the types of relationships young adults can form, and there is evidence that the processes of relationship formation are marked by substantial ambiguity. This lack of structure in the young adult dating scene may be accompanied by more flexible gender roles in dating behaviors. Historically men’s roles centered on proactive initiation and women’s roles were characterized by reactive passivity; these gender roles structured the commencement and the progression of early relational ties into formalized unions. However, the deinstitutionalization of dating may have allowed women and men to enact new roles in the pre-relationship phase. This research asks if women and men equally exercise control in both the commencement of relationships and in determining their trajectory. Results indicate that men possess a unique controlling role of the ability to define a relationship, while women typically inhabit a role of clearly communicating their interests levels to men while simultaneously attempting to clarify men’s intentions.Item Architecture and physical design for advanced networks-on-chip(2011-05) Jang, Woo Young; Pan, David Z.; Abraham, Jacob A.; Aziz, Adnan; Gerstlauer, Andreas; Zhang, YinThe aggressive scaling of the semiconductor technology following the Moore’s Law has delivered true system-on-chip (SoC) integration. Network-on-chip (NoC) has been recently introduced as an effective solution for scalable on-chip communication since dedicated point-to-point (P2P) interconnection and shared bus architecture become performance and power bottlenecks in the SoCs. This dissertation studies three critical NoC challenges such as latency, power, and compatibility with emerging technologies in aspect of an architecture and physical design level. Latency is a key issue in NoC since the performance of applications considerably depends on resource sharing policies employed in an on-chip network. NoCs have been mainly developed to improve network-level performance that captures the inherent performance characteristics of a network itself, but the network-level optimizations are not directly related to application- or system-level performance. In addition, memory latency on NoC critically affects the performance of applications or systems. We propose a synchronous dynamic random access memory (SDRAM) aware NoC design to optimize memory throughput, latency, and design complexity. Furthermore, it is extended to an application-aware NoC design to provide the quality-of-service (QoS) of memory for various applications. NoC provides great on-chip communication. However, it brings no true relief to power budget when the on-chip network scales in terms of complexity/size and signal bandwidth. The combination of NoC and other techniques has the potential to reduce power. We study two power saving research topics for NoC: (a) we propose a voltage-frequency island (VFI) aware NoC optimization framework with a better tradeoff between power efficiency and design complexity to minimize both computation and on-chip communication power. (b) We formulate an application mapping problem to mixed integer quadratic programming (MIQP) with the purpose of reducing power consumption in various hard networks and develop highly efficient algorithms for the MIQP. Regarding NoC compatible with new technologies, we focus on three dimensional (3D) die integration based on through-silicon vias (TSVs). Since an on-chip network design has been subject to not only application constraints but also design/manufacturing constraints, a 3D NoC design is required for innovation in interconnection networks. We propose a chemical-mechanical polishing (CMP) aware application-specific 3D NoC design that minimizes TSV height variation, thus reduces bonding failure, and meanwhile optimizes conventional NoC design objectives such as hop count, wirelength, power, and area.Item Area, delay and power comparison of adder topologies(2015-12) Ganesan, Sarvesh; Swartzlander, Earl E., Jr., 1945-; Touba, NurAn adder is an indispensable component for a processing system and is ever-present on an integrated circuit. With scaling and the increasing levels of integration seen in the contemporary integrated circuits, power consumption has become an important factor in deciding the performance of any adder circuit in addition to the speed. Area has always been another factor which is taken into account based on the application. This work provides a comprehensive analysis of the standard cell based CMOS implementations of six adder topologies of different word sizes in 45nm technology. The analysis is done on leakage power, dynamic power, speed and area. The switching activities of the circuits were captured using dynamic gate level simulation to perform the time based peak power analysis. Static timing analysis was performed to estimate the delay of the critical path for each circuit. The complexity of the circuit is decided based on the number of gates used in the implementation and the area utilized by the standard cells in the circuit. The analysis and results presented in this report will be helpful in choosing a specific adder configuration for an integrated circuit based on the constraints related to its application.Item Bureaucratic access points and leverage(2013-08) Sternemann, Daniel Thomas; Jones, Bryan D.This project studies how bureaucratic behavior influences policy implementation. It presents a novel bureaucratic access points and leverage theory, which help us understand how policies are successfully implemented in the midst of bureaucratic challenges resulting from organizational roles and responsibilities and contrasting assessments. The concept of access points has traditionally involved lobbyists and interest groups accessing elected officials and their staffs. I ask what is the effect of bureaucrats accessing bureaucrats directly in the policy implementation process and its subsequent evaluation. I argue that bureaucrats leverage other bureaucrats during policy implementation proceedings, which adds the notion of power to access points theory. The focus of this investigation is the relationship between humanitarian assistance and disaster relief (HA/DR) agencies and associated Department of Defense (DOD) components, particularly DOD medical components providing wellness intervention. Bureaucratic access and leverage enables a more unified implementation of over-arching HA/DR policy by disparate agencies with unique missions, resources, capabilities, and assessment measures. The existing literature does not fully capture how such agency differences are mitigated and overcome in implementing policy that spans multiple entities. Bureaucratic access points and leverage theory offers bureaucrats the analytical capability to know who is controlling policy implementation. It also presents a tool they can use to maintain and increase their own influence and power within a policy domain.Item Consumer-Data Approach to Assess the Effect of Residential Grid-Tied Photovoltaic Systems and Electric Vehicles on Distribution Transformers(IEEE, 2014-06) Uriarte, F. M.; Toliyat, A.; Kwasinski, A.; Hebner, R. E.The authors examine the impact of residential photovoltaic arrays and electric vehicles on distribution transformers by using 3-D surface and 2-D filled contour plots. These visualizations, somewhat unorthodox to power distribution analysis, elucidate the impact of hundreds of assets on distribution transformers on a single view. The visualizations are created with a smart grid computer model that accepts residential electrical recordings in one minute intervals. An analysis of simulation results shows that the electrical footprint experienced by a residential community and its distribution transformers stems from photovoltaic arrays rather than from electric vehicles. Additionally, the results indicate the existing distribution assets may be ready to support the proliferation of photovoltaic arrays and electric vehicles, a common concern across utilities in the United States.Item Crossing through yards : narratives of boundaries in East Austin(2011-12) Steiner, Audrey Moya; Stross, Brian; Stewart, Kathleen; Hartigan, John; Campbell, Craig; McKenna, BrianThis study examines what individuals of limited pecuniary resources in an urban society have to say about how they conceive of or interact with family, neighborhood, city, and society at large, as well as what some of the material and metaphorical boundaries are they meet, and how these function. The narratives they tell delineate ways, directly or indirectly, the consultants attempt to make sense of their lives, and explicate certain of their intertanglements with and perspectives on boundaries they encounter. When boundaries are placed, according to their characteristics, on a soft to hard continuum, an opening presents itself, signaled by specific, identifiable components, to reveal the constructions of empowerment and disenfranchisement that lie behind some seemingly unbreachable barriers. The consultants for this paper tell of skills and creativity they use to re-frame, ignore, cross, or otherwise get around many prevalent constrictive boundaries in order to conduct fulfilling lives. Since much of the success of ethnography, particularly that based on participant observation, hinges on capabilities to cross boundaries in order to understand different communities, the consultants' knowledge of ways to contend with boundaries can be applied productively to anthropological investigations.Item Determination of the energy flux of internal gravity waves(2017-12) Lee, Frank Moonyoung; Morrison, Philip J.; Swinney, Harry L; Hazeltine, Richard D; Horton, Claude W; Gamba, Irene MInternal gravity waves are traveling disturbances that propagate within a fluid whose density varies with depth, and two prominent examples where these occur are the atmosphere and the ocean. In the latter case, which is the focus of this work, the tidal forcing by the moon creates internal gravity waves (oftentimes referred to simply as "internal waves") that originate from the ocean bottom topography. The energy generated in the internal waves by this mechanism contributes significantly to the energy budget of the ocean. Hence it is important to determine the energy flux in the internal waves. However, it is not possible to obtain the energy flux J = p v directly because the pressure and velocity perturbation fields, p and v, cannot be simultaneously measured at the present time. The two primary methods for measuring internal waves in the laboratory are particle image velocimetry (PIV), which gives velocity perturbation fields v(x,z,t), and synthetic schlieren, which gives density perturbation fields [rho](x,z,t). We present one method for obtaining the time-averaged energy flux (J) from PIV data by calculating the stream function [psi](x,z,t), whose results agree to within 0.5% when compared with direct numerical simulations of the Navier-Stokes equations. The method was also applied to laboratory data, and again using direct numerical simulations, the agreement was found to be very good. A MATLAB code was developed with a graphical user interface that can be used to compute the energy flux and power from any two-dimensional velocity field data. Another method, using a Green's function approach, was developed to obtain the instantaneous energy flux J(x,z,t) from density perturbation data [rho](x,z,t) such as that from synthetic schlieren. This was done for a uniform, tanh, and linear buoyancy frequency N(z). Additionally, a finite-difference method was developed for the case of arbitrary N(z). The results for J(x,z,t) are found to agree with results from direct numerical simulations, typically to within 6%. These methods can be applied to any density perturbation data using the MATLAB graphical user interface EnergyFlux.Item Fantastic worlds : Black feminist aesthetics in young adult fiction(2020-05-12) Taylor, Charlotte Terese; Perez, Domino Renee, 1967-; Pinto, SamanthaThis project examines how the young adult (YA) fantasy genre is used by Black female authors as both a response to the current sociopolitical climate and as a way to (re)imagine power and survival for Black girls. It looks toward three YA fantasy novels– L.L. McKinney’s A Blade So Black, Justina Ireland’s Dread Nation, and Tomi Adeyemi’s Children of Blood and Bone–all written by Black women and published in 2018 in order to examine how the protagonists of each mobilize resources as a way to gain power and survive. Each of the young Black female protagonists utilize resources that center undervalued sources of knowledge such as emotion, magic, myth, and the body. I refer to these sources as “Black feminist aesthetics.” This project examines how Black feminist aesthetics are integral to the protagonists attainment of power and their survival. It also examines how Black feminist aesthetics allow the protagonists to embrace alternative identities, roles, and relations than those typically offered young Black girls. A purpose of this project is to highlight that the stakes for Black girls seeing themselves in the literature they read are much higher than just representation. For young Black girls living in a world in which their bodies are vulnerable, and their lives are devalued, how Black girls show up in literature becomes important for how Black girls are treated in the world. Moreover, the existence of Black female characters who make and take power in imaginative ways while living within worlds that are violent toward them, offers readers the freedom to also imagine alternative, creative, and subversive ways to live within their own worlds. Afrofuturism and Black feminism provide the framework for such imaginings. The confluence of the two, Afrofuturist feminism, demonstrates how essential it is to center Black feminist thought in the imagining of transgressive, prosperous, and equitable Black futures.Item Genital power : female sexuality in West African literature and film(2011-05) Diabate, Naminata; Moore, Lisa L. (Lisa Lynne); Hoad, Neville Wallace, 1966-This dissertation calls attention to three important contemporary texts from West Africa that resist the tacit cultural taboo around questions of sexuality to imagine empowering images of female sexuality. Using postcolonial feminist approaches, queer theory, and cultural studies, I analyze two novels and a film by T. Obinkaram Echewa, Frieda Ekotto, and film director Jean Pierre Bekolo to retrieve moments in which women characters turn the tables on denigrating views of their sexuality and marshal its power in the service of resistance. I show how in these texts, women bare their nether parts, wield menstrual cloths, enjoy same-sex erotic acts, sit on men's faces, and engage in many other stigmatized practices in a display of what I call "genital powers." These powers are both traditional to the cultures analyzed here and called into new forms by the pressures of decolonization and globalization. Through more complex representations of female sexuality, these texts chart a tradition in which stale binaries of victims and oppressors, the body as an exclusive site of female subjugation or as a site of eternal female power are blurred, allowing a deeper understanding of women's lived experiences and what it means to be a resisting subject in the postcolonial space. By broadly recovering women's powers and subjectivities, centering on sexuality and the body, I also examine the ways in which this mode of female subjectivity has thus far escaped comprehensive theorization. In this way, my project responds to Gayatri Spivak's call to postcolonial intellectuals to unlearn privileged forms of resistance in the recognition of subjectivity, and to develop tools that would allow us to "listen" to the voices of disenfranchised women - those removed from the channels of knowledge production. However, my study cautions that the recognition of genital powers should not be conflated with the romanticized celebration of female bodies and sexuality, since West African women continue to struggle against cultural, political, existential, and physical assaults.Item Guardband management in heterogeneous architectures(2016-12) Leng, Jingwen; Janapa Reddi, Vijay; John, Lizy Kurian; Erez, Mattan; Fussell, Donald S.; Bose, PradipPerformance and power efficiency are two of the most critical aspects of computing systems. Moore's law (the doubling of transistors in a chip every 18 months), coupled with Dennard scaling, enabled a synergy between device, circuit, microarchitecture, and architecture to drive improvements in those two critical aspects. With the recent end of Dennard scaling, on-chip transistor count continues to increase, but the smaller transistor size no longer provides performance per power gain. The divergence between transistor density increases and power efficiency gain decreases results in processor design paradigm shifts from the single-core CPU architecture to the multicore or manycore CPU architecture, and eventually to the heterogeneous architecture. Besides performance and power efficiency, reliability is another crucial computing requirement. However, regardless of how the architecture evolves, processors still need to trade off a significant portion of performance or power efficiency to ensure reliability. When running on the silicon, processors experience continuously varying operating conditions, such as process, voltage, and temperature (PVT) variation. All the variation may slow down circuit speed and cause timing errors. The traditional approach to ensuring the reliable operation in the presence of possible worst-case conditions is to statically assign a large-enough voltage margin (or guardband). But such an approach leads to wasted energy, because the worst-case condition rarely occurs, and the processor could have operated at a lower voltage most of the time [36, 48, 77]. We need to actively manage the voltage guardband to fully unlock the efficiency potential of heterogeneous architectures. However, guardband management in heterogeneous architectures is a particularly challenging problem that has not been studied by prior work. On one hand, as transistors become smaller, the impact of PVT variation relative to the nominal voltage becomes more significant [60]. On the other hand, increasing core count in the processor results in a larger die area and a higher peak power consumption, both of which complicate and enlarge the impact of PVT variation. To this end, this thesis studies cross-layer mechanisms that span from the circuit to (micro)architecture to software runtime for managing the guardband in the heterogeneous architecture. Most prior works have studied guardband management mechanisms only in the circuit or (micro)architecture level. In comparison, my colleagues and I studied cross-layer mechanisms that require lower hardware design complexity and incur less implementation overhead because the software takes a major role in guardband management. Moreover, the cross-layer mechanisms alleviate the need for (micro)architecture-specific optimizations, which make them scalable solutions in the current era of rapidly evolving heterogeneous architectures. This thesis performs such a study in the manycore GPU architecture, which is a representative heterogeneous architecture and has been widely adopted in mainstream computing. The first part of the thesis focuses on the modeling and characterization of PVT variation in the GPU architecture. We first perform a thorough characterization of the underlying PVT variation's impact on the voltage guardband based on hardware measurements. After identifying voltage variation (noise) as the most challenging and necessary factor for guardband management, we study methodologies for how to accurately model voltage noise in the manycore architecture. The insights on how the circuit, microarchitecture, and program interact with each other to affect the PVT variation lay foundations for cross-layer guardband management mechanisms studied in this thesis. The second part of this thesis studies two guardband-management techniques and demonstrates that they can significantly improve the GPU architecture's energy efficiency. We first study how to improve the worst-case guardbanding design by performing voltage smoothing, which effectively mitigates large voltage noise and achieves significant energy savings with less guardband requirement. We then study how to adapt to the program-specific guardband requirement to fully unlock the current GPU's efficiency potential. We propose a mechanism called predictive guardbanding, in which the program directly predicts its voltage requirement. The proposed design leverages cross-layer optimization to minimize hardware complexity and overhead. The last part of this thesis studies reliability optimization when the prediction in the predictive guardbanding fails with an unexpected error margin. We advocate maintaining system-level reliability, and we propose a design paradigm called asymmetric resilience, whose principle is to develop the reliable heterogeneous CPU-GPU system centering around the CPU. This generic design paradigm eases the GPU away from reliability optimization. We present design principles and practices for the heterogeneous system that adopts such design paradigm. Following the principles of asymmetric resilience, we demonstrate how to use the CPU architecture to handle GPU execution errors, which lets the GPU focus on typical case operation for better energy efficiency. We explore the design space and demonstrate that it can be used as the safety-net mechanism in predictive guardbanding with reasonable overhead.Item Harmonics diversity simulation of inverter based generators in large-scale power systems(2020-05-07) Osorio Perez, Fernando Elias; Santoso, SuryaThis thesis explores the application of inverter-based generator (IBG) models in EMTP-RV. The main goal is to analyze how accurately different models can simulate the harmonic current spectra of an IBG, and study the interaction of the harmonic currents with the grid. The models to be studied are the detailed switch model (DM), harmonic averaged model (HAVM), and automated current source model (ACSM). In this work, the harmonic current diversity is also studied; the diversity arises from the different generating set-points of an IBG and the grid configuration at the point of interconnection (POI). Furthermore, the application of the ACSM in power systems with conventional generators is elaborated through a step-by-step procedure.Item The impact of foam rolling on explosive strength and excitability of the motor neuron pool(2013-08) Abels, Kristin Marie; Abraham, Lawrence D.To assess acute performance-related effects of foam rolling, this study investigated the immediate effects of a standard foam rolling protocol on explosive strength of the plantarflexors and alpha motor neuron excitability in the soleus. Explosive strength was measured via vertical jump height (JUMP) and the Reactive Strength Index (RSI) obtained from a single leg drop jump. Alpha motor neuron excitability was measured by H reflex amplitude as H wave to M wave ratio (HM) obtained from the soleus muscle. JUMP and RSI measures were analyzed from nineteen subjects (12 male, 7 female) HM data were analyzed from 15 subjects (9 male, 6 female). Subjects attended one day of practice and instruction for the single leg drop jump and one day for data collection. One leg was randomly assigned to be the test leg (FL) and the other as the control (NL). The reported dominant leg and gender were also recorded for each subject. Subjects performed two single leg drop jumps per leg from a box height of 30 cm and then 10 soleus H reflexes were obtained. The intervention, which followed standard professional guidelines, consisted of 2.5 minutes of foam rolling for the FL and rest for the NL, followed by a 5 minute warm up on a cycle ergometer. The best jump and the average HM ratio were chosen for analysis. For each variable a post/pre ratio was calculated for statistical analysis. A 2x2x2 factor ANOVA with repeated measures on both factors was used for each variable. Analysis revealed no statistically significant differences for any of the variables, either as main effects or any of the interaction effects. Subjects trended towards a slightly larger post-intervention decrease in JUMP and RSI for the FL than the NL but this was not significant. It was concluded that a 2.5 minute intervention of foam rolling had no acute effect on explosive strength of the plantarflexors or alpha motor neuron excitability of the soleus.Item Improving electrical power grid resiliency and optimizing post-storm recovery using LiDAR and machine learning(2020-02-03) Davis, Michael Andrew, II; Bajaj, ChandrajitWhile many external factors influence resiliency, weather remains the single greatest threat to the electric power grid, and the impacts caused by significant storms can be long-lasting and widespread. When damage occurs, it is very costly to identify due to the vast size of electrical transmission and distribution circuits, which can span hundreds of miles. Pinpointing a failure in a circuit requires the expensive process of dispatching human teams to “walk the line” and physically inspect the circuit to identify damage. It is proposed that this problem can be optimized through automation, by leveraging flight vehicles, light detection and ranging (LiDAR) technology, and machine learning. The goals for this project are: 1) Investigate the feasibility of, and problems associated with, developing a system to remotely inspect electrical power transmission and distribution infrastructure with lidar. 2) Investigate the feasibility of developing an automated system to classify and detect damage to terrestrial transmission and distribution assets with lidar and artificial intelligence. 3) Develop a proof of concept of such a system, including a simulation of real-time lidar data collection and damage assessmentItem Increasing engagement with the supply chain to improve the performance of power sector projects(2019-05-13) Carlosena, Gabriel Raúl; O'Brien, William J.Global and complex supply chains are the norms on capital projects, particularly in the power sector, and better integration of the supply chain is an opportunity to improve project cost, schedule, quality, and safety. In that context, this research wants to identify opportunities that can improve the engagement with the supply chain in power projects and understand the potential of those opportunities to improve the project performance. Based on the review of existent literature and twelve open-ended phone interviews with industry experts representing different stakeholders, eleven opportunities were identified. Opportunities varied from framework agreement with suppliers and modularization to improvements in supplier's contracts and early design freeze. In order to determine the relationship between the opportunities and project performance, a survey was designed, and 30 responses were collected and analyzed. According to the respondents, all opportunities are viable for consideration and have potential to improve project performance, but early involvement of stakeholders, use of standard designs across projects, and better integration of suppliers in Advanced Work Packaging ranked in the top. Overall, the present work provides recommendations that mainly owners and contractors in the power sector can consider in order to improve the engagement with their suppliers. Companies should choose the opportunities that are better for them to implement based on their current involvement with the supply chain, their objectives, and their resources.Item Introduction to power and sample size in multilevel models(2012-05) Venkatesan, Harini; Beretvas, Susan NatashaIn this report we give a brief introduction to the multilevel models, provide a brief summary of the need for using the multilevel model, discuss the assumptions underlying use of multilevel models, and present by means of example the necessary steps involved in model building. This introduction is followed by a discussion of power and sample size determination in multilevel designs. Some formulae are discussed to provide insight into the design aspects that are most influential in terms of power and calculation of standard errors. Finally we conclude by discussing and reviewing the simulation study performed by Maas and Hox (2005) about the influence of different sample sizes at individual as well as group level on the accuracy of the estimates (regression coefficients and variances) and their standard errors.Item Irritations in romantic relationships : testing and extending the Revelation-Risk Model as a predictive mechanism for disclosure decisions and relationship power effects(2022-05-04) Pett, Rudolph Clarence; Vangelisti, Anita L.; Dailey, Rene M; Donovan, Erin E; Whittaker, Tiffany AFeelings of irritation often emerge in romantic relationships, but the theoretical mechanisms determining whether individuals reveal or conceal these specific feelings to their romantic partner remain underdeveloped. Using a two-month longitudinal survey design involving 448 participants, the current study tested the Revelation-Risk Model (RRM) as a potential mechanism for predicting individuals’ decisions to reveal or conceal their feelings of irritation. The RRM was also examined in its ability to predict the disclosure strategies individuals anticipated using at Time 1 and actually employed at Time 2. In addition, the original RRM considerations were extended by examining the additive role of relationship power in predicting individuals’ disclosure decisions. Results suggested that the original components of the RRM (i.e., closeness, risk, communication efficacy, willingness to disclose) predict individuals’ decisions to disclose feelings of irritation. Relationship power also emerged as a significant predictor of the risk individuals associated with disclosing their feelings of irritation. The findings are discussed in terms of their contributions to existing research on disclosure, irritations, and power in romantic relationships.Item Logic and clock network optimization in nanometer VLSI circuits(2015-08) Roy, Subhendu; Pan, David Z.; Orshansky, Michael; Touba, Nur A; Gerstlauer, Andreas; Puri, RuchirLogic optimization and clock network optimization for power, performance and area trade-off have been imperative problems for the very large scale integrated (VLSI) circuit designers. With further technology scaling, complex designs and aggressive time-to-market targets, scalable algorithms are very much anticipated than ever before. The logic optimizations can be at pre-synthesis stage, post-synthesis stage or even cross-layer. The success of the logic optimization is determined by how much it can benefit in metrics such as power and performance after physical placement and routing. Meanwhile, building a process variation tolerant and On-Chip-Variation (OCV) aware clock network to meet the performance/power target in modern designs has become an extremely difficult job, which calls for clock tree resynthesis, i.e., restructuring of an existing clock network, to achieve better power/performance. This dissertation first focuses on a pre-synthesis logic optimization problem, high performance adder synthesis. The optimization of the prefix network, capturing the carry-computation of any adder, has been shown to be effective even after logic synthesis, placement and routing over existing adder solutions, including even hand-made custom adders designed in industrial designs. Second a post-synthesis optimization problem, a new paradigm of discrete gate sizing under multiple operating conditions, is proposed to consider both system and logic level information. Besides it helps in design space exploration by providing feedback to the system level. Our paradigm is flexible to integrate various reliability and physical design issues. Finally, a clock network optimization problem, clock tree resynthesis, is proposed to achieve multi-corner, multi-mode timing closure and dynamic power minimization on an already synthesized and routed clock tree. The clock tree resynthesis algorithms have been integrated into an industrial placement and routing tool, and validated on large-scale industrial designs.Item Machina ex deos. Successes and challenges of implementing mobile computing technologies for development. The experience of nine Indian village health projects using a project-issued mobile application(2016-05) Schwartz, Ariel, Ph. D.; Weaver, Catherine, 1971-; Densmore, Melissa; Heinrich, Carolyn; Lentz, Erin; Ward, PeterAs mobile computing technologies become increasingly functional and affordable, global donor and local development organizations find ways to justify and fund their use in grassroots development work. This dissertation asks two questions: (1) In resource-constrained social sector settings, what project features govern and structure use of work-issued mobile devices? And: (2) How do decision-makers adjust to maximize the benefit of newly-introduced devices while minimizing new burdens to the project and project staff? More simply, what variables under social sector projects’ control might promote successful use of information and communication technologies in development (ICTD) projects? This research represents systematic, qualitative comparison of nine extended deployments of a popular mobile health application, CommCare. Each studied project deployed devices loaded with CommCare to health workers in India as a supportive job aid and/or a data collection tool to help monitor beneficiary populations’ health status and frontline workers’ work. This dissertation examines the conditions under which these health workers were able and willing to use CommCare devices in their jobs, and whether and how they deviated from the use of those devices prescribed by their supervisors. Primary data for this study come from 62 in-depth, semi-structured interviews, extensive review of project documents, and personal observations from field study in India over six months in 2013. Employing a sociotechnical lens and a principal agent model, my data support expectations that use of CommCare devices would help align community health workers’ behavior with their supervisors’ organization and mission-related priorities. Use of the devices improved health workers’ professional competence and improved communications, data quality, and data access. These improvements facilitated project supervisors’ monitoring of health workers and beneficiaries, and funders’ monitoring of projects. Contradicting expectations, use of CommCare devices also weakened organizational oversight and control through new data challenges and increased health worker autonomy in their personal and professional lives. These dual benefits and challenges ultimately served the overall projects’ missions.